Header menu link for other important links
X
LifeSim: A lifetime reliability simulator for manycore systems
R. Rohith, V. Rathore, , A.K. Singh, S. Thambipillai, S.-K. Lam
Published in Institute of Electrical and Electronics Engineers Inc.
2018
Volume: 2018-January
   
Pages: 375 - 381
Abstract
The increasing demand for high-performance applications along with the advancement of technology, leading to power hungry manycore processors and rising chip temperature, have made the devices increasingly susceptible to wearout and aging resulting in early failure of the processing cores. The systemlevel analysis and optimization techniques offer a holistic view and ample opportunities to address lifetime reliability challenges, that can be explored and evaluated with the help of a fast and accurate simulation environment. This paper presents LifeSim, a simulation tool that integrates i) a state-of-The-Art manycore simulator, ii) a thermal simulator and iii) a lifetime reliability analyzer. The simulation tool is easily configurable without any code modification and compilation, with the help of a configuration file. To facilitate the development of solutions to mitigate aging and improve lifetime reliability, we enhanced the simulator with scheduling and frequency control features. It offers both preemptive and non-preemptive scheduling along with an interface for dynamic voltage frequency scaling (DVFS). Further, it logs statistics such as power, temperature, aging, and mean time to failure (MTTF) and also generates graphs for visualization and easy comparison of the performance of the solution adopted by the user. © 2018 IEEE.