Header menu link for other important links
X
Thermal-Aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme
Y. Cui, W. Zhang, , W. Liu, B. He
Published in World Scientific Publishing Co. Pte Ltd
2016
Volume: 25
   
Issue: 1
Pages: 224 - 227
Abstract
Three-dimensional network-on-chip (3D-NoC) emerges as a potential multi-core architecture delivering high performance, high energy efficiency and great scalability. However, 3D-NoC suffers from severe thermal problems due to its high power density. To solve this problem, thermal-aware scheduling is an effective solution. However, the high complexity of the thermal model of 3D-NoC becomes a major hurdle for developing efficient thermal-aware scheduling algorithms for 3D-NoC. In this paper, we propose a novel thermal-aware task scheduling scheme named as the Bottom-to-Top (B2T) approach to address this challenge. This heuristic-based method performs task allocation on processing units to efficiently minimize the peak temperature and improve the execution time of the tasks with low complexity. The algorithm is first designed for two-layer 3D-NoC and then extended to 3D-NoC with an arbitrary number of layers. When compared to traditional thermal-aware scheduling algorithms designed for 2D-NoC, our B2T algorithm can achieve significant peak temperature reduction (up to 11.9C) and performance improvement (up to 4%) on two-layer 3D-NoC. The improvement becomes more significant as the number of layers in 3D-NoC increases. For four-layer 3D-NoC, the improvement is up to 13.23C peak temperature reduction. © 2016 World Scientific Publishing Company.
About the journal
JournalJournal of Circuits, Systems and Computers
PublisherWorld Scientific Publishing Co. Pte Ltd
ISSN02181266
Open AccessNo